Home > Samsung > Processor > Samsung Exynos 5 User Manual

Samsung Exynos 5 User Manual

    Download as PDF Print this page Share this page

    Have a look at the manual Samsung Exynos 5 User Manual online for free. It’s possible to download the document as PDF or print. UserManuals.tech offer 1705 Samsung manuals and user’s guides for free. Share the user manual or guide on Facebook, Twitter or Google+.

    Page
    of 881
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-297  
    4.4.1.426 EXT_INT61_PEND 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0A04, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:8] –=Reserved=0x000000=
    EXT_INT61=
    _PEND[7]=[7]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT61=
    _PEND[6]=[6]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT61=
    _PEND[5]=[5]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT61=
    _PEND[4]=[4]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT61=
    _PEND[3]=[3]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT61=
    _PEND[2]=[2]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT61=
    _PEND[1]=[1]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT61=
    _PEND[0]=[0]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    = 
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-298  
    4.4.1.427 EXT_INT62_PEND 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0A08, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:8] –=Reserved=0x000000=
    EXT_INT62=
    _PEND[7]=[7]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT62=
    _PEND[6]=[6]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT62=
    _PEND[5]=[5]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT62=
    _PEND[4]=[4]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT62=
    _PEND[3]=[3]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT62=
    _PEND[2]=[2]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT62=
    _PEND[1]=[1]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT62=
    _PEND[0]=[0]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    =
    = 
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-299  
    4.4.1.428 EXT_INT63_PEND 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0A0C, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:8] –=Reserved=0x000000=
    EXT_INT63=
    _PEND[7]=[7]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT63=
    _PEND[6]=[6]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT63=
    _PEND[5]=[5]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT63=
    _PEND[4]=[4]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT63=
    _PEND[3]=[3]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT63=
    _PEND[2]=[2]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT63=
    _PEND[1]=[1]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT63=
    _PEND[0]=[0]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    =
    4.4.1.429 EXT_INT64_PEND 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0A10, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:2] –=Reserved=0x00000000=
    EXT_INT64=
    _PEND[1]=[1]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    EXT_INT64=
    _PEND[0]=[0]=RWu=0x0 = Does not=occur=
    0x1 = Interrupt occurs=0x0=
    = 
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-300  
    4.4.1.430 EXT_INT_GRPPRI_XC 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B00, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:1] –=Reserved=0x00000000=
    EXT_INT=
    _GRPPRf=[0]=RW=
    EXT_INT groups priority rotate enable=
    0x0===Does not=rotate (Fixed)=
    0x1===Enables rotate=
    0x0=
    =
    4.4.1.431 EXT_INT_PRIORITY_XC 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B04, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:5] –=Reserved=0x0000000=
    EXT_INT64_PRf=[4]=RW=
    EXT_INT group 5 priority rotate enable=
    0== Does not=rotate=(Fixed)=
    1 = Enables rotate=
    0x0=
    EXT_INT63_PRf=[3]=RW=
    EXT_INT group 4 priority rotate enable=
    0== Does not=rotate=(Fixed)=
    1 = Enables rotate=
    0x0=
    EXT_INT62_PRf=[2]=RW=
    EXT_INT group 3 priority rotate enable=
    0== Does not=rotate=(Fixed)=
    1 = Enables rotate=
    0x0=
    EXT_INT61_PRf=[1]=RW=
    EXT_INT group 2 priority rotate=enable=
    0== Does not=rotate=(Fixed)=
    1 = Enables rotate=
    0x0=
    EXT_INT60_PRf=[0]=RW=
    EXT_INT group 1 priority rotate enable=
    0== Does not=rotate=(Fixed)=
    1 = Enables rotate=
    0x0=
    = 
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-301  
    4.4.1.432 EXT_INT_SERVICE_XC 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B08, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:8] RW Reserved 0x0000000 
    SVC_Group 
    _Num [7:3] RW EXT_INT Service group number 0x00 
    SVC_Num [2:0] RW Services this Interrupt number  0x0 
     
    4.4.1.433 EXT_INT_SERVICE_PEND_XC 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B0C, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:8] RW Reserved 0x0000000 
    SVC_PEND [7:0] RW 0x0 = Does not occur 
    0x1 = Interrupt occurs 0x00 
     
    4.4.1.434 EXT_INT_GRPFIXPRI_XC 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B10, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:5] –=Reserved=0x0000000=
    Highest_GRm=
    _NUM=[4:0]=RW=Group number of the highest priority when fixed group 
    priority mode: 1=to=25=0x00=
    =
    = 
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-302  
    4.4.1.435 EXT_INT60_FIXPRI 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B14, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:3] –=Reserved=0x00000000=
    Highest_EINT=
    _NUM=[2:0]=RW=Interrupt number of the highest priority in External Interrupt=
    Group 1 (EXT_INT60)=when fixed priority mode: 0=to=7=0x0=
    =
    4.4.1.436 EXT_INT61_FIXPRI 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B18, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:3] –=Reserved=0x00000000=
    Highest_EINT=
    _NUM=[2:0]=RW=Interrupt number of the highest priority in External Interrupt=
    Group 2 (EXT_INT61) when fixed priority mode: 0=to=7=0x0=
    =
    4.4.1.437 EXT_INT62_FIXPRI 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B1C, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:3] –=Reserved=0x00000000=
    Highest_EINT=
    _NUM=[2:0]=RW=Interrupt number of the highest priority in External Interrupt=
    Group 3 (EXT_INT62) when=fixed priority mode: 0=to=7=0x0=
    =
    = 
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-303  
    4.4.1.438 EXT_INT63_FIXPRI 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B20, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:3] –=Reserved=0x00000000=
    Highest_EINT=
    _NUM=[2:0]=RW=Interrupt number of the highest priority in External Interrupt=
    Group 4 (EXT_INT63) when=fixed priority mode: 0=to=7=0x0=
    =
    4.4.1.439 EXT_INT64_FIXPRI 
     Base Address: 0x10D1_0000 
     Address = Base Address + 0x0B24, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    RSVD [31:3] –=Reserved=0x00000000=
    Highest_EINT=
    _NUM=[2:0]=RW=Interrupt number of=the highest priority in External Interrupt=
    Group 5 (EXT_INT64) when fixed priority mode: 0=to=7=0x0=
    =
    = 
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-304  
    4.4.1.440 GPZCON 
     Base Address: 0x0386_0000 
     Address = Base Address + 0x0000, Reset Value = 0x0000_0000 
    Name Bit Type Description Reset Value 
    GPZCON[6] [27:24] RW 
    0x0 = Input 
    0x1 = Output 
    0x2 = I2S_0_SDO[2] 
    0x3 = ST_INT 
    0x4 to 0xE = Reserved 
    0xF = EXT_INT50[6] 
    0x00 
    GPZCON[5] [23:20] RW 
    0x0 = Input 
    0x1 = Output 
    0x2 = I2S_0_SDO[1] 
    0x3 = ST_TICK 
    0x4 to 0xE = Reserved 
    0xF = EXT_INT50[5] 
    0x00 
    GPZCON[4] [19:16] RW 
    0x0 = Input 
    0x1 = Output 
    0x2 = I2S_0_SDO[0] 
    0x3 = PCM_0_SOUT 
    0x4 to 0xE = Reserved 
    0xF = EXT_INT50[4] 
    0x00 
    GPZCON[3] [15:12] RW 
    0x0 = Input 
    0x1 = Output 
    0x2 = I2S_0_SDI 
    0x3 = PCM_0_SIN 
    0x4 to 0xE = Reserved 
    0xF = EXT_INT50[3] 
    0x00 
    GPZCON[2] [11:8] RW 
    0x0 = Input 
    0x1 = Output 
    0x2 = I2S_0_LRCK 
    0x3 = PCM_0_FSYNC 
    0x4 to 0xE = Reserved 
    0xF = EXT_INT50[2] 
    0x00 
    GPZCON[1] [7:4] RW 
    0x0 = Input 
    0x1 = Output 
    0x2 = I2S_0_CDCLK 
    0x3 = PCM_0_EXTCLK 
    0x4 to 0xE = Reserved 
    0xF = EXT_INT50[1] 
    0x00 
    GPZCON[0] [3:0] RW 
    0x0 = Input 
    0x1 = Output 
    0x2 = I2S_0_SCLK 
    0x3 = PCM_0_SCLK 
    0x4 to 0xE = Reserved 
    0xF = EXT_INT50[0] 
    0x00 
      
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-305  
    4.4.1.441 GPZDAT 
     Base Address: 0x0386_0000 
     Address = Base Address + 0x0004, Reset Value = 0x00 
    Name Bit Type Description Reset Value 
    GPZDAT[6:0] [6:0] RWX 
    When you configure the port as input port, the 
    corresponding bit is the pin state. When you configure 
    the port as output port, the pin state is the same as the 
    corresponding bit. W hen you configure the port as 
    functional pin, the undefined value will be read. 
    0x00 
     
    4.4.1.442 GPZPUD 
     Base Address: 0x0386_0000 
     Address = Base Address + 0x0008, Reset Value = 0x1555 
    Name Bit Type Description Reset Value 
    GPZPUD[n] [2n + 1:2n] 
    n = 0 to 6 RW 
    0x0 = Disables Pull-up/down  
    0x1 = Enables Pull-down  
    0x2 = Reserved 
    0x3 = Enables Pull-up  
    0x1555 
     
    4.4.1.443 GPZDRV 
     Base Address: 0x0386_0000 
     Address = Base Address + 0x000C, Reset Value = 0x00_0000 
    Name Bit Type Description Reset Value 
    GPZDRV[n] 
    [2n + 1:2n] 
    N = 0 to 6 RW 
    0x0 = 1x 
    0x2 = 2x 
    0x1 = 3x 
    0x3 = 4x 
    0x00_0000 
    [n + 16:16] 
    n = 0 to 6 RW Reserved. Should be zero. 0x00_0000 
     
      
    						
    							Samsung Confidential  
    Exynos 5250_UM 4 Pad Control 
     4-306  
    4.4.1.444 GPZCONPDN 
     Base Address: 0x0386_0000 
     Address = Base Address + 0x0010, Reset Value = 0x0000 
    Name Bit Type Description Reset Value 
    GPZ[n] [2n + 1:2n] 
    n = 0 to 6 RW 
    0x0 = Output 0 
    0x1 = Output 1 
    0x2 = Input 
    0x3 = Previous state 
    0x00 
     
    4.4.1.445 GPZPUDPDN 
     Base Address: 0x0386_0000 
     Address = Base Address + 0x0014, Reset Value = 0x0000 
    Name Bit Type Description Reset Value 
    GPZ[n] [2n + 1:2n] 
    n = 0 to 6 RW 
    0x0 = Disables Pull-up/down  
    0x1 = Enables Pull-down  
    0x2 = Reserved 
    0x3 = Enables Pull-up  
    0x00 
     
      
    						
    All Samsung manuals Comments (0)